Laboratorium03 KombinacyjneUkładyLogiczneCzęść2DekoderyMultipleksery


Laboratorium 3
Temat: Kombinacyjne układy logiczne (cz.2): dekodery, multipleksery.
I. Dekodery
1. Dekoder  układ kombinacyjny dokonujący konwersji zakodowanych danych w inną formę.
Najczęściej układ dekodera przetwarza kod binarny (lub inny dowolny kod) na kod  1 z n .
Układy zamieniające jeden kod na inny np. kod binarny na kod wyświetlacza 7-segmentowego
noszą nazwę transkoderów.
2. Dekoder BIN/1-OF-4 (dekoder kodu binarnego na kod  1 z 4 )
a) układ dekodera
A(1...0)  wejście (kod binarny)
Z(3...0)  wyjście (kod  1 z 4 )
b) tabela prawdy dekodera
A(1) A(0) Z(3) Z(2) Z(1) Z(0)
0 0 0 0 0 1
0 1 0 0 1 0
1 0 0 1 0 0
1 1 1 0 0 0
c) realizacja w języku VHDL (fragmenty)
entity:
port (A : in std_logic_vector(1 downto 0); -- 2-bitowy port wejściowy
Z : out std_logic_vector(3 downto 0)); -- 4-bitowy port wyjściowy
architecture:
Z <=  0001 when A =  00 else
with A select
 0010 when A =  01 else
Z <=  0001 when  00 ,
lub
 0100 when A =  10 else
 0010 when  01 ,
 1000 ;
 0100 when  10 ,
 1000 when others;
Projektowanie ukÅ‚adów VLSI © 2005 Copyright by Tomasz FaÅ‚at
II. Multipleksery
3. Multiplekser  jest układem posiadającym k wejść, n wejść adresowych (zazwyczaj k=2n) i
jedno wyjście y. Jego działanie polega na połączeniu jednego z wejść X(i) z wyjściem Y. Numer
wejścia jest określany przez podanie jego numeru na linie adresowe A.
4. Multiplekser 4 na 1
a) układ multipleksera
X(3...0)  wejście (4-bit.)
Z  wyjście
A(1...0)  linie adresowe (2-bit)
b) tabela prawdy multipleksera 4 na 1
A(1) A(0) Z
0 0 X(0)
0 1 X(1)
1 0 X(2)
1 1 X(3)
c) realizacja w języku VHDL (fragmenty)
entity:
port (X : in std_logic_vector(3 downto 0); -- 4-bitowy port wejściowy
A : in std_logic_vector(1 downto 0); -- 2-bitowy port adresowy (wej.)
Z : out std_logic ); -- wyjście (1-bit)
architecture:
Z <= X(0) when A =  00 else
with A select
X(1) when A =  01 else
Z <= X(0) when  00 ,
lub
X(2) when A =  10 else
X(1) when  01 ,
X(3) ;
X(2) when  10 ,
X(3) when others;
5. Zadania do realizacji
a) zrealizować dekoder kodu Gray'a na kod  0 z 16
b) zrealizować multiplekser  16 na 4
Projektowanie ukÅ‚adów VLSI © 2005 Copyright by Tomasz FaÅ‚at


Wyszukiwarka

Podobne podstrony:
Laboratorium02 KombinacyjneUkładyLogiczneCzęść1SumatoryZastosowanieKomponentów
Rola laboratoriów w świetle wymagań systemów zarządzania jakoscią
Laboratorium 3
Ćwiczenie laboratoryjne nr 6 materiały
Windows 2 Laboratorium 4b
Chemia żywnosciCwiczenie laboratoryjne nr 1 wyodrebnianie i badanie własciwosci fizykochemicznych b
Laboratorium 3
LABORATORIUM CHEMIA I WYTRZYMALOSC MATERIALOW sprawko 1
Ustawa o medycznej diagnostyce laboratoryjnej
Laboratorium z PO Zestaw 05
diagnostyka laboratoryjna w okresie niemowlęcym i dziecięc…
Spis Laboratoriów

więcej podobnych podstron