MG 85

MG 85



endif;


statement_6;


Mariusz Rawski

i


Proste ALU


arg1

arg1

opcodel


simpleALU


result


architecture behavior of simpleALU is

signal arcOs, srcls : signed (7 downto 0); begin

srcOs <= signed (argl);


srcls <= signed (arg2);


o✓*


opcode

result

0—

argl

100

argl + arg2

101

argl - arg2

110

argl and arg2

111

argl orarg2


I library ieee;

use ieee.stdJogic.1164.all; use ieee.numeric_std.all;

entity simpleALU is port(

opcode : łn std_logłc_vector (2 downto 0); argl, arg2 : in std_logic_vector (7 downto 0); result : out std logię vector (7 downto 0)

);

end simpleALU;

process (opcode, argl, arg2, srcOs, srcls) begin

case opcode is

when -000" | "001" | "010" | "011" ■> result <= argl; when "100" =>

result <= std_logic_vector(srcOs + srcls); when "101" ■>

result <= std_logłc_vector(srcO s- srcls);

when *110" =>

result <■ argl and arg2; when others =>

result <= argO orarc2; end case; end process; endbehavior;

Mariusz Rawski


Wyszukiwarka

Podobne podstrony:
MG&07 Mal^ggo. Mariusz Rawski Cs T7    *Konwersja ASM na FSM%p>>( ćA,/»ścor
MG 59 Mariusz Rawski jKod U2 ^U2    ^^n-1
MG 76 Mariusz RawskiW—Koncepcja realizacji • Realizację instrukcji selektywnego przypisania można
MG 78 Mariusz Rawski end data_flow; •    4 porty wyjściowe •    warto
MG 82 H Mariusz RawskiDekoder priorytetu przerwań - inaczej llbrary ieee; use leee.std_loglc_1164.a
MG 90 Mariusz Rawski +Automat wykrywający sekwencję 011 •    Automat wykrywający
MG 95 uKtaai? rsti#dk Mariusz RawskiAutomat z resetem synchronicznym (3)Automat 2 Ustalenie wartośc
MG&01 IT12    [ TXE inactkw aftar RD cyda I 00 ■li*—I Mariusz Rawski t^crZ -"&g
MG&03 Mariusz RawskiPorty dwukierunkowe •    Porty dwukierunkowe mogą być wykorzysty
MG 75 Mariusz RawskiInstrukcja selektywnego przypisania with wyrażenie .selekcjonujące select sygna
MG 81 Mariusz RawskiMultiplekser 4 wejściowe szyny 8 bitowe szyna wyjściowa 2 bitowa sygnał se/ect
MG 93 Mariusz Rawski Automat wykrywający sekwencję 011- działanie m
MG 94 Mariusz Rawski clkAutomat z resetem synchronicznymtagn lw6<“V; <mV; pi^line«Vdwn
MG&02 generowet oopw— sekwencje sygnałów wyjściowych Mariusz Rawskie------- :Diagram stanów generac
MG&06 I Mariusz Rawski zegara zaruwnu w FSM1, jak i w FSM2Blok ASM Wyjście Moorea *P-ij stan Blok
MG!85 gdzie: m — moment gnący [N • mm], Wy — wskaźnik przekroju na zginanie [mm3]. 6, 6 Ot 61
30 Marek Mariusz Tytko ny teoretyk sztuk plastycznych (malarstwa, rzeźby i architektury) w XV wieku,
MG 54 Mamisz Rawskil/lBlok funkcjonalny X X, (Y) - wejścia (wyjścia) sygnałów reprezentujących dane
MG 88 I__ Mariusz Rawsld Hbrary library ieee; use ieee.stdJ(^<Ln64.a!l; entity latchjnodule

więcej podobnych podstron