MG 82

MG 82



H


Mariusz Rawski

Dekoder priorytetu przerwań - inaczej

llbrary ieee;

use leee.std_loglc_1164.all; fise leeenumenć std.aii;i

entity lrq_dec Is port(

high, mlddle, Iow : Iri stdjoglc;

lrq_level    : out stdJoglc_vector (1 down to 0)

i

end lrq_dec;

archttecture data_flow of irq_dec Is

signal I: std_loglc_vector (2 downto 0); begln

I <= high & mlddle & Iow;    ___

lrq_level <= "11" when Istd matchfł.’l-"pi else "10" when istd materal.*01-n else "01" when (i = "001")    else

"00"; *

end dataJRow;

Vmh

25.28 is

Ul

uo

Ul

kź—-j-—*—-—C-_E--

i?7lm

; 1_

_l

3

ł 2 ). ■ k

» jL-L-


i


Teraz też wszystko jest dobrze!


Wykorzystanie funkcji std_match z biblioteki numeric_std pozwala na wykorzystywanie zapisu .kostkowego* z zastosowaniem wartości


<$U--y-kh


— — V,—IZ''-

Mariusz Rawski


Wyszukiwarka

Podobne podstrony:
MG 59 Mariusz Rawski jKod U2 ^U2    ^^n-1
MG 76 Mariusz RawskiW—Koncepcja realizacji • Realizację instrukcji selektywnego przypisania można
MG 78 Mariusz Rawski end data_flow; •    4 porty wyjściowe •    warto
MG 90 Mariusz Rawski +Automat wykrywający sekwencję 011 •    Automat wykrywający
MG&03 Mariusz RawskiPorty dwukierunkowe •    Porty dwukierunkowe mogą być wykorzysty
MG 75 Mariusz RawskiInstrukcja selektywnego przypisania with wyrażenie .selekcjonujące select sygna
MG 81 Mariusz RawskiMultiplekser 4 wejściowe szyny 8 bitowe szyna wyjściowa 2 bitowa sygnał se/ect
MG 93 Mariusz Rawski Automat wykrywający sekwencję 011- działanie m
MG 94 Mariusz Rawski clkAutomat z resetem synchronicznymtagn lw6<“V; <mV; pi^line«Vdwn
MG&06 I Mariusz Rawski zegara zaruwnu w FSM1, jak i w FSM2Blok ASM Wyjście Moorea *P-ij stan Blok
MG 66 Tryby portu library ieee; use ieee.std_logic_1164.all; a entity port_mode is port( a, b : in
MG 95 uKtaai? rsti#dk Mariusz RawskiAutomat z resetem synchronicznym (3)Automat 2 Ustalenie wartośc
MG&01 IT12    [ TXE inactkw aftar RD cyda I 00 ■li*—I Mariusz Rawski t^crZ -"&g
MG 85 endif;statement_6; Mariusz Rawski iProste ALU arg1 arg1 opcodelsimpleALU result architecture
MG&02 generowet oopw— sekwencje sygnałów wyjściowych Mariusz Rawskie------- :Diagram stanów generac
MG&07 Mal^ggo. Mariusz Rawski Cs T7    *Konwersja ASM na FSM%p>>( ćA,/»ścor
MG 54 Mamisz Rawskil/lBlok funkcjonalny X X, (Y) - wejścia (wyjścia) sygnałów reprezentujących dane

więcej podobnych podstron