MG 88

MG 88



I__

Mariusz Rawsld


Hbrary library ieee;

use ieee.stdJ(^<Ln64.a!l;

entity latchjnodule is

port(

ena : In stdjogic; d : in stdjogic; q : out stdjogic

);

end latrfi.modułe;

architecture data.fłow af latch_module is /

begin

process (ena, d)

begin

/

if (ena * T) then */ q<«d; endif; J

end process; 1A’ f end datajlow;

^ c


Zatrzask - latch

Wartość na wejściu'd'jest przekazywana na wyjście ‘q\ gdy wejście'ena* Jest T,

Należy zauważyć, że w instrukcji if nie występuję gałąź ejso. Zgodnie z definicją VHDL w takiej sytuacji sygnał '<( podtrzymuj poprzednią wartość |eśl 'ena' nie Jest T (czyli Jest *0*).

Można umicić gałąź alsa w kodzie, Jednak nie będzie lo miało wpływu na syntezę.

Mariusz Rawski



Wyszukiwarka

Podobne podstrony:
MG 82 H Mariusz RawskiDekoder priorytetu przerwań - inaczej llbrary ieee; use leee.std_loglc_1164.a
MG 66 Tryby portu library ieee; use ieee.std_logic_1164.all; a entity port_mode is port( a, b : in
IV SEMESTER HDL Lab 1) /HDL codę to realize all the logie gates library IEEE; use IEEE.STD_LOGIC_116
5 (232) zadS.vhd library ieee;USE ieee.std_logic_1164.all; ENTITY zadS isPORT ( x :IN std_logic_vect
5 (232) zadS.vhd library ieee;USE ieee.std_logic_1164.all; ENTITY zadS isPORT ( x :IN std_logic_vect
5 (232) zadS.vhd library ieee;USE ieee.std_logic_1164.all; ENTITY zadS isPORT ( x :IN std_logic_vect
MG 59 Mariusz Rawski jKod U2 ^U2    ^^n-1
MG 76 Mariusz RawskiW—Koncepcja realizacji • Realizację instrukcji selektywnego przypisania można
MG 78 Mariusz Rawski end data_flow; •    4 porty wyjściowe •    warto
MG 90 Mariusz Rawski +Automat wykrywający sekwencję 011 •    Automat wykrywający
MG&03 Mariusz RawskiPorty dwukierunkowe •    Porty dwukierunkowe mogą być wykorzysty
MG 75 Mariusz RawskiInstrukcja selektywnego przypisania with wyrażenie .selekcjonujące select sygna
MG 81 Mariusz RawskiMultiplekser 4 wejściowe szyny 8 bitowe szyna wyjściowa 2 bitowa sygnał se/ect
MG 93 Mariusz Rawski Automat wykrywający sekwencję 011- działanie m
MG 94 Mariusz Rawski clkAutomat z resetem synchronicznymtagn lw6<“V; <mV; pi^line«Vdwn
MG 98 Automat — kodowanie stanów <« morary leee; use leetstri_loglę_U64.all; entfty fan_control
MG&06 I Mariusz Rawski zegara zaruwnu w FSM1, jak i w FSM2Blok ASM Wyjście Moorea *P-ij stan Blok
Filtr FIR programowalny realizacja VHDL — It uses W1 signed bit data/coefficients bi LIBRARY Ipm; US
MG!88 Światło białe jest zbiorem fal o różnych częstościach. W badaniach elasto. optycznych najczęś

więcej podobnych podstron