Cw 8 PROJEKT SEKWENCYJNE pm


WOJSKOWA AKADEMIA TECHNICZNA
im. Jarosł awa Dą browskiego
ZAKAAD AWIONIKI I UZBROJENIA LOTNICZEGO
Przedmiot:
PODSTAWY AUTOMATYKI I AUTOMATYZACJI
(studia I stopnia)
ĆWICZENIE RACHUNKOWE
PROJEKT PROSTEGO UKAADU SEKWENCYJNEGO
Warszawa 2014
ĆWICZENIE RACHUNKOWE
Temat:
Projekt prostego układu sekwencyjnego
1. WIADOMOŚCI OGÓLNE
Układ cyfrowy, w którym aktualny stan wyjść zależy nie tylko od
aktualnego stanu wejść, ale również zależy od stanu w którym układ
znajdował się wcześniej nazywamy układem sekwencyjnym lub
układem z pamięcią (można spotkać określenie z pamięcią stanu).
Przykładem elementarnego układu sekwencyjnego jest układ
przełączający, który służy do zaświecenia lampy stołowej z ręcznie
uruchamianym przyciskiem o jednym tzw. położeniu stabilnym. Jeśli
lampa nie świeci się, to naciśnięcie przycisków powoduje jej
zaświecenie. W przypadku gdy lampa jest włączona, to naciśniecie
przycisku powoduje wyłączenie lampy. Przyciśniecie przycisku
powoduje włączenie lub wyłączenie lampy zależnie od tego czy
wcześniej była włączona czy wyłączona.
W układach sekwencyjnych zależności między wejściami i
wyjściami stają się niejednoznaczne. Tym samym wektorom
wejściowym mogą opowiadać różne wektory wyjść. Wartość na
wyjściu zależy od  historii układu -  pamięć stanu .
Pamięć realizowana jest przez wprowadzenie sprzężenia
zwrotnego. Informacja o stanie, w którym znajduje się układ jest
przekazywana na wejście układu. Układ ten nazywa się blokiem
pamięci. Blok pamięci odpowiedzialny jest za realizację funkcji stanu
układu.
Rys. 1. Blok pamięci układu sekwencyjnego.
2
W układzie automatycznej regulacji występuje sprzężenie zwrotne
do wyznaczenia uchybu regulacji. W układzie sekwencyjnym nie
rozróżnia się dodatniego i ujemnego sprzężenia zwrotnego. Sprzężnie
zwrotne w układzie sekwencyjnym, to rozszerzenie wektora wejść o
dodatkowe elementy, którymi są wyjścia bloku pamięci. Modelem
układu sekwencyjnego jest automat skończony. Rozróżnia się dwa
podstawowe typy automatów:
·ð automat Mealy ego,
·ð automat Moore a.
Automatem skończonym Maley ego nazywać będziemy układ:
M=
gdzie:
X={x0,x1,x2, & ,xn}  wektor sygnałów wejściowych,
S={s0,s1,s2, & ,sr}  wektor stanów wewnętrznych,
Y={y0,y1,y2, & ,yr}  wektor sygnałów wyjściowych,
dð  funkcja przejść automatu Mealey ego dð=S x X,
lð funkcja wyjść automatu Mealey ego lð=S x X.
Równanie stanu automatu Mealey ego:
S(t+1)=dð(S(t),X(t)),
Równanie wyjść automatu Mealey ego:
Y(t)=lð(S(t),X(t)).
W automacie Mealy ego wartość stanu wewnętrznego zależy od
bieżącej wartości stanu, w którym znajduje się automat oraz od
sygnałów wejściowych:
S(t+1)=dð(S(t),X(t))  funkcja realizowana przez blok pamiÄ™ci.
Wartość na wyjściu automatu zależy od stanu, w którym znajduje się
automat oraz od wartości wyjściowej:
Y(t)=lð(S(t),X(t))  funkcja realizowana przez blok wyjÅ›ciowy.
Rys. 2. Automat Mealey ego.
3
Automatem skończonym Moore a nazywać będziemy układ:
mð=
gdzie:
X={x0,x1,x2, & ,xn}  wektor sygnałów wejściowych,
S={s0,s1,s2, & ,sr}  wektor stanów wewnętrznych,
Y={y0,y1,y2, & ,yr}  wektor sygnałów wyjściowych,
dð  funkcja przejść automatu Moore a,
lð funkcja wyjść automatu Moore a,
Równanie stanu automatu Moore a:
S(t+1)=dð(S(t),X(t)),
Równanie wyjść automatu Moore a:
Y(t)=lð(S(t)).
W automacie Mealy ego wartość stanu wewnętrznego zależy od
bieżącej wartości stanu, w którym znajduje się automat oraz od
sygnałów wejściowych:
S(t+1)=dð(S(t),X(t)),  funkcja realizowana przez blok pamiÄ™ci.
Wartość na wyjściu automatu zależy od stanu, w którym znajduje
siÄ™ automat:
Y(t)=lð(S(t))  funkcja realizowana przez blok wyjÅ›ciowy.
Jest to podstawowa cecha odróżniająca automat Moore a od automatu
Mealy ego.
Rys. 3. Automat Moore a.
Układy sekwencyjne dzielimy na:
·ð ukÅ‚ady sekwencyjne asynchroniczne,
·ð ukÅ‚ady sekwencyjne synchroniczne.
4
W układach sekwencyjnych asynchronicznych zmiana stanu
wewnętrznego następuje bezpośrednio i wyłącznie pod wpływem
zmiany stanu wejść. Nowy stan wewnętrzny ustala się po pewnym
czasie t określonym przez opóznienie elementów, z których zbudowany
jest układ realizujący funkcję d.
W układach synchronicznych zmiana stanu wewnętrznego może
następować tylko w ściśle określonych chwilach czasu, wyznaczonych
przez sygnał doprowadzony do specjalnego wejścia układu. Wejście to,
nazywane jest taktujÄ…cym lub zegarowym i oznaczane jest literÄ… C (ang.
clock). Stan wejść oddziałuje na stan wewnętrzny automatu tylko w
chwilach czasu, gdy wejście zegarowe jest aktywne. Zmiana stanu
wejść, gdy wejście zegarowe jest nieaktywne nie powoduje zmiany
stanu wewnętrznego układu.
Układ sekwencyjny opisywany jest przez:
" opis słowny,
" wykres czasowy,
" graf przejść i wyjść,
" tablica przejść i wyjść.
Opis słowny jest opisem działania układu, w którym podane są
charakterystyczne informacje o wektorze wejściowym, stanach
wewnętrznych układu i wektorze wyjściowym.
Wykres czasowy określa wzajemne zależności pomiędzy sygnałami
wejściowymi i wyjściowymi. Każdemu sygnałowi przyporządkowane
są wartości 0 lub 1. Oś czasu nie jest skalowana najczęściej przedstawia
tylko zależności pomiędzy odpowiednimi sygnałami wejściowymi i
wyjściowymi.
Tablica przejść opisuje funkcję przejść d. W odpowiednich polach
tabeli wpisuje się wartości następnych stanów. Pole określone jest przez
wartość wektora wejściowego oraz stan bieżący.
Tablica wyjść, opisuje funkcję wyjść l i jest różna zależnie od typu
automatu. W automacie Mealy ego wartość wektora wyjść wpisywana
jest w te same pola, co tabela przejść, ponieważ wartość wyjściowa
zależy od wektora wejść oraz od stanu układu.
W automacie Moore a generuje się oddzielną tabelę, w której
umieszcza się wartości wyjściowe automatu odpowiadające
odpowiednim stanom.
Należy zauważyć, że zawsze pierwotna tabela stanu i wyjść jest
generowana dla automaty Moore a i dopiero po wprowadzeniu
kolejnych przekształceń wyznacza się tabele Mealy ego albo pozostaje
siÄ™ przy automacie Moore a.
Graf przejść i wyjść zawiera pełną informację o układzie. W
grafie umieszczone są informacje o liczbie stanów wewnętrznych
układu cyfrowego S i wektorze wejść i wyjść.
5
Wierzchołki grafu odpowiadają stanom wewnętrznym układu.
Gałęzie grafu odpowiadają wektorowi wejść i opisują przejście
pomiędzy dwoma stanami. Gałąz jest wyposażona w zwrot, który
określa kierunek przechodzenia z bieżącego stanu do następnego. Tak
opisywana jest funkcja przejść dð.
Stan wyjść w automacie opisuje się zależnie od typu automatu. W
automacie Moore a wartości wyjściowe zależą bezpośrednio od stanu,
w którym znajduje się automat. Wartości wyjściowe bezpośrednio
przyporządkowane są wierzchołkom grafu.
W automacie Mealy ego wartości wyjściowe zależą od stanu, w
którym znajduje się automat i od wektora wejściowego. Dlatego, w tego
typu automatach wartości wektora wyjść umieszczone są obok w
gałęziach obok wektora wejściowego.
2. PRZERZUTNIK ASYNCHRONICZNY SR
Najprostszymi układami sekwencyjnymi są przerzutniki
asynchroniczne. Przerzutnik tego typu posiada dwa wejścia:
·ð wejÅ›cie wpisujÄ…ce set (s),
·ð wejÅ›cie zerujÄ…ce reset (r).
Do oznaczania wejść przerzutników asynchronicznych używamy
małych liter.
Układ posiada wyjście Q oraz wyjście zanegowane not (Q).
Przerzutnik realizuje funkcjÄ™:
Q(t)®ðQ(t+1) s r
s r Q(t+1)
000-
0 0 Q(t)
0110
010
101 1001
11 - 11-0
Rys. 4. Tabela wejść przerzutnika sr (a) i tabela przejść przerzutnika Sr (b).
3. PROJEKTOWANIE UKAADÓW STEROWANIA
SEKWENCYJNEGO
Punktem wyjścia do projektowania układu asynchronicznego jest
opis słowny, przebieg czasowy sygnałów wejściowych i wyjściowych,
graf lub tabela przejść i wyjść.
6
Proces projektowania realizowany jest zgodnie z następującymi
etapami:
1. Wyznaczenie graf przejść i wyjść na odstawie opisu słownego
lub przebiegów czasowych sygnałów wejściowych i
wyjściowych.
2. Sporządzenie pierwotnej tabeli przejść i wyjść.
3. Redukcja pierwotnej tabeli przejść i wyjść.
4. Wyznaczenie funkcji przejść.
5. Wyznaczenie funkcji wyjść.
Qn
AB Qn+1
0 1
00 1 1 1
01 0 1 Qn
11 0 0 0
10 1 1 1
Proces projektowania zostanie przedstawiony na przykładzie
układu, którego tabela przejść i wyjść podana jest powyżej. Układ
będzie pracować synchronicznie zgodnie z taktami zegarowymi
podawanymi na wejście dodatkowe  clock . W układzie wartości wyjść
równe są stanowi układu Qn:

W tabelo Qn+1 oznacza stan następny względem stanu Qn, taki
zapis przedstawia następstwo stanów.
Po wprowadzeniu wejścia zegarowego otrzymamy tabelę:
Tabela 1.
 clock A B
Qn
000 001 011 010 110 111 101 100
0 0 0 0 0 1 0 0 1
1 1 1 1 1 1 0 1 1
Zgodnie z tabelą, jeżeli sygnał zegarowy ma wartość  0 to jest
utrzymywany aktualny stan niezależnie od stanu wejść A i B. Jeżeli
sygnał zegarowy  clock przyjmuje wartość  1 , to występują trzy
przypadki:
1. dla wektora wejściowego (A, B) [1 0] i [0 0] na wyjściu układu
będzie wartość  1 ,
7
2. dla wektora ego (A, B) [ yjściu układ
d a wejściowe [1 1] na wy du będzie
wartość  0 ,
3. dla wektora ego (A, B) [ yjściu układ
d a wejściowe [0 1] na wy du będzie
utrzyma jak dla clock
ana wartość stanu, tak j k=0.
Uk da dwa stany ne (stan wewnętrzny Qn), które
kład posiad y wewnętrzn Q
odpowie mujÄ… wartoÅ›  .
ednio przyjm ść  0 i  1
110
100
000
0
000
001
001
011
011
010
0
S1/0 S2/1
010
100
0
101
111 101
111
110
0
Rys. 5. Graf
f.
Na rysunku 5 przeds
a stawiony jest graf przejścia między
poszczególnymi sta
anami.
Ko rzejść (ry 4.b) dla prze
orzystajÄ…c tabel pr ys. erzutnika
asynchro czone anÄ… zialne
onicznego rs wyznac zosta tabele odpowiedz za
wzbudze odpow wej set i reset przerz
enie wiednio jścia r zutnika. W tabeli 1
sprawdz stan Qn a nastÄ™ dla wektora we
zamy ępnie w ejściowego
n
określam jaki będ następ stan Qn+1, np. dla Qn = 0 i wektora
my dzie pny a
wejściow <1, 0> ( clock = 1) nastę stan je równy Q
wego 0 ępny est Qn+1=1.
Zgodnie należy na
e z tabela przejść (rys. 4.b) aby przejść ze stanu 0Þð1 n
wejściu set ustawić ciu reset ustawiona jest
ć wartość 1 a na wejśc t wartość
0 (dla Qn=1 i wekt 1, 0> wartość Qn+1=1, co zgodnie
Q tora AB=<1 e z tabelÄ…
przejść przerzutnik sr przyp wejśc set war  - , n
ka pisuje ciu rtość natomiast
wejściu reset wart 0). W odpowied polac tabeli w
tość W dnich ch wzbudzeń
wejścia set i reset umieszcza wartoś wynikają z tabeli przejść
t amy ść ące i
przerzut
tnika sr.
Ta ejścia ustaw astępująca:
abela dla we wiajÄ…cego S (set) jest na
 clock
 A B
Qn
000 001 011 010 110 111 101 100
0 1
0 0 0 0 0 1 0 0 1
1 - - - - - 0 - -
Fu udzeń dla w  jest następ
unkcja wzbu wejścia  set pująca:




Ta ejścia ustaw R (Reset) jest następując
abela dla we wiajÄ…cego R ca:
8
 clock A B
n
Qn
000 001 011 010 110 111 101 1
1 100
0 - - - - 0 - - 0
1 0 0 0 0 0 1 0 0
Fu udzeń dla w et jest nast
unkcja wzbu wejścia  rese tępująca:



y
SET
S Q
clock
A R CLR Q
B
Rys. 6. Realiz u sterowania
R zacja układu a.
Pod przykł można z ć czny
dany ład zrealizować jako układ elektronic lub
zaprogra erownik PLC
amować ste C.
4. LITE
ERATURA
1. Janu KOW  Pod utomatyki T1 , Uc
usz WAL dstawy au czelniane
Wyd o-Dydaktycz AGH Kraków 2004,
dawnictwa Naukowo zne H, w
Sygn 78
natura: 6037
2. Tade a sterowania kłady liniow
eusz Kaczorek  Teoria a. Tom I Uk we ciągłe
i dys aństwowe W wo Naukow wa 1977
skretne . Pa Wydawnictw we, Warszaw
9


Wyszukiwarka

Podobne podstrony:
Cw 1 charakterystyki statyczne PM S
Cw 3 charakt czasowe czestotliw PM
Projekt PM
Cw 4 Stabilnosc PM
2 cw artefakty rozpoczęcia projektu 1
Projekt PM (2)
13 Projektowanie układów sekwencyjnych procesowo–zależnych o programach liniowych na przykładzie u
Cw 4 Stabilnosc PM S
Cw 5 jakosc PM S
DUH IMPEX cały projekt (ćw 1)

więcej podobnych podstron